CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 verilog

搜索资源列表

  1. Multiplier4X4

    0下载:
  2. 乘法器:4bit*4bit,兩個輸入,一個輸出,這個是verilog程式,名字是Multiplier4X4,功能是乘法。-Multiplier: 4-bit* 4bit, two inputs, one input, this is a verilog program name Multiplier4X4, function is multiplication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:209591
    • 提供者:MJ
  1. CAM

    0下载:
  2. 本文档是基于ISE的verilog编程,描述的是一个用CAM方法编的一个乘法器,是四位乘以四位的乘法器。-This document is based on the ISE verilog programming described a CAM method to a series of multipliers, the multipliers of four multiplied by four.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:158941
    • 提供者:飞扬
  1. 16-parallel-multiplier

    0下载:
  2. 简单16位并行乘法器的Verilog程序-16 parallel multiplier Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1889
    • 提供者:陈俊辉
  1. mult_16

    1下载:
  2. 用verilog实现对三个16位数进行相加乘法器-Three 16-digit sum of the multiplier Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1151
    • 提供者:吴雪红
  1. 16mult_signed

    0下载:
  2. 16*16位的有符号乘法器的verilog语言-16 x 16 signed multiplier verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:834
    • 提供者:371645042
  1. mulx

    0下载:
  2. FPGA verilog乘法器 设计 用FPGA中DSP模块实现-FPGA verilog mulx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:544863
    • 提供者:haziq
  1. chengfaqi

    0下载:
  2. verilog语言编写的一个乘法器程序,是16位相乘!已通过仿真,用Quartus II 9.1 编写-a multiplier verilog language program, is 16 multiplied by! Through simulation, the Quartus II 9.1 to write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1775553
    • 提供者:mr liu
  1. Multiplier16

    1下载:
  2. 本文设计了一种可以实现16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了补码一位乘(Booth算法), 简化了部分积的数目, 减少了某些加法运算,从而提高了运算速度。该乘法器利用Verilog代码实现,通过Modelsim软件对相应的波形进行仿真验证,并通过QuartusII软件对源码进行编译综合。-This paper designed a 16 signed/unsigned binary number multiplication of the multiplier can be a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5754546
    • 提供者:hxy
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. develop_frame_find

    0下载:
  2. 基于FPGA中OFDM中的帧检测,由于采用简化算法,采用较少的复数乘法器,易于硬件实现,且节省资源,采用verilog实现.-Frame detection based on FPGA for OFDM, a simplified algorithm, using less complex multiplier, easily implemented in hardware, and save resources, the SNR performance is slightly lower th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:320748
    • 提供者:
  1. Multiplier

    0下载:
  2. 圖形介面乘法器,也可自行使用verilog去改-Graphical interface multiplier, also free to use verilog go and change
  3. 所属分类:Other systems

    • 发布日期:2017-12-08
    • 文件大小:226343
    • 提供者:具東白
  1. mux16

    0下载:
  2. 乘法器,verilog语言实现,16位*16位,位数可调,改动相应程序即可。-Multiplier, verilog language to achieve, 16* 16 digit adjustable changes corresponding program can.
  3. 所属分类:Other systems

    • 发布日期:2017-12-06
    • 文件大小:839
    • 提供者:胡峰
  1. work

    0下载:
  2. 这里面包含了从易到难的6个很经典的verilog例子,有序列检测器,3位乘法器,数字报表等-It contains from easy to difficult six very classic verilog example, a sequence detector, three multiplier, digital statements, and so on
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:592690
    • 提供者:陆乘风
  1. MULT

    0下载:
  2. 用VERILOG实现乘法器功能,通过仿真验证-With VERILOG multiplier function is verified by simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:404131
    • 提供者:蚩建峰
  1. lbq3

    0下载:
  2. 滤波器的verilog代码 主要是对算法的折叠 有原先的4个加法器四个乘法器变成2个加法器两个乘法器-Filter verilog code folding algorithm 4 adder four multipliers into two adders and two multipliers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:822
    • 提供者:chen
  1. Multiplier

    0下载:
  2. 详细介绍了给予Verilog的乘法器设计过程。-Details the the multiplier given Verilog design process.
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:312153
    • 提供者:wind
  1. mux16

    0下载:
  2. 16位乘法器的verilog实现,可以通过仿真,采用的是移位的方法。-16-bit multiplier verilog achieve, through simulation, using the shift method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1195
    • 提供者:shaojian
  1. Mul32

    1下载:
  2. Verilog语言编写的单精度浮点数乘法器-The Verilog language of single precision floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:8218
    • 提供者:lenovo
  1. costasc_verilog

    1下载:
  2. 实现costas环,用verilog语言实现,缺少乘法器,可以自己添加-Realization of Costas ring, with the Verilog language implementation, the lack of multiplier, you can add their own.
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:1874
    • 提供者:liuweiliang
  1. RS_dec

    0下载:
  2. rs(204,188)译码器,verilog实现,乘法器采用比特异或方式实现-rs (204,188) decoder, verilog achieve multiplier used than specific or way
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:13419
    • 提供者:洋洋
« 1 2 3 4 5 6 7 89 10 11 »
搜珍网 www.dssz.com